Registers

A register is a collection of flip-flop circuits.

8-bit register

$n$-bit register

Shift Registers

Shifts the data

Bit-wise

Each clock cycle, the flip-flop takes the previous flip-flop's output.

Parallel-access

Allows us to load either serially (shifting), or in parallel

VHDL

VHDL - Synchronous

Form 1Form 2

Reminder: The order doesn't matter as a process only assigns variables at the end of the process.